正文

〖004〗 7段译码器 [AHDL]2006-09-27 17:13:00

【评论】 【打印】 【字体: 】 本文链接:http://blog.pfan.cn/wentao/18852.html

分享到:

课上老师讲的, 真值表方式:

SUBDESIGN 7seg
(
    i[3..0]             : INPUT;
    a, b, c, d, e, f, g : OUTPUT;
)

BEGIN

    TABLE
        i[3..0] => a, b, c, d, e, f, g;
             0  => 0, 0, 0, 0, 0, 0, 1;
             1  => 1, 0, 0, 1, 1, 1, 1;
             2  => 0, 0, 1, 0, 0, 1, 0;
             3  => 0, 0, 0, 0, 1, 1, 0;
             4  => 1, 0, 0, 1, 1, 0, 0;
             5  => 0, 1, 0, 0, 1, 0, 0;
             6  => 0, 1, 0, 0, 0, 0, 0;
             7  => 0, 0, 0, 1, 1, 1, 1;
             8  => 0, 0, 0, 0, 0, 0, 0;
             9  => 0, 0, 0, 0, 1, 0, 0;
    END TABLE;

END;

阅读(3990) | 评论(0)


版权声明:编程爱好者网站为此博客服务提供商,如本文牵涉到版权问题,编程爱好者网站不承担相关责任,如有版权问题请直接与本文作者联系解决。谢谢!

评论

暂无评论
您需要登录后才能评论,请 登录 或者 注册