正文

第一个实验-8路开关控制8个灯2007-10-26 20:22:00

【评论】 【打印】 【字体: 】 本文链接:http://blog.pfan.cn/yanyoushuai/30509.html

分享到:

library ieee;
use ieee.std_logic_1164.all;
entity switch_led is
port(key : in std_logic_vector(7 downto 0);
 light : out std_logic_vector(7 downto 0));
end entity switch_led;

architecture behav of switch_led is
 begin
  process(key)
   begin
    for i in 7 downto 0 loop
     light(i) <= key(i);--the led is lighting when the votage is high;
    end loop;
  end process;
 end architecture behav;

阅读(2033) | 评论(0)


版权声明:编程爱好者网站为此博客服务提供商,如本文牵涉到版权问题,编程爱好者网站不承担相关责任,如有版权问题请直接与本文作者联系解决。谢谢!

评论

暂无评论
您需要登录后才能评论,请 登录 或者 注册